IMEC Chief: Innovation Only Way Out Of Recession

Technology Staff Editor
Posted by


LEUVEN, Belgium — "The way to get out of the downturn is to innovate," said Luc Van den hove, chief executive of IMEC (Leuven, Belgium), opening an annual press forum for the R&D center based here. Researchers discussed advances in extreme ultraviolet lithography, MEMS and components for wireless, solar and medical systems at the event. This recession has been a relatively mild one so far for the center that employs 1,770 people and had 2008 revenue of about USD$408 million. Most of IMEC's partners renewed and a few even expanded the annual contracts that make up more than 80 percent of the group's revenues--with the exception of Qimonda that went into bankruptcy. The net result: IMEC's revenues will be down only about five percent this year, compared to drops of 20 percent generally among electronics vendors. "R&D is the last part to cut because they need to continue to innovate," said Van den hove. One focus for IMEC's semiconductor process R&D is extreme ultraviolet (EUV), the much delayed next-generation of lithography. Production EUV equipment could be available by 2012, estimated Rudi Cartuyvels, general manager of IMEC's process technology group. "For large volume [logic chips] we believe EUV is the only viable option, and we are now routinely printing sub-20nm features with it," said Van den hove. But the systems are slow. Production systems need to handle hundreds of wafers and hour, and current EUV prototypes only produce a few wafers per hour. That's because they can't get enough energy from the 13nm laser light sources they use, Cartuyvels said. The EUV systems need a 10- to 100-fold boost in light source power to be effective, according to one IMEC presentation. In addition, EUV masks need to reduce defect rates ten-fold and raise resist performance by at least a factor of two. The EUV systems promise to eliminate the complex double and triple patterning and optical correction needed for wafers made on today's 193nm immersion litho systems. But companies such as Intel don't expect to get their hands on useable EUV systems until late in their roll out of 15nm products, Intel Fellow Mark Bohr said recently. IMEC hopes to install a new EUV system that late next year that will show increases in throughput perhaps to the range of tens of wafers per hour. Separately, IMEC researchers talked about advances in wireless, medical, solar and MEMS devices. The efforts are part of IMEC's work to expand beyond R&D in CMOS scaling. IMEC showed a promising technique for building solar cells out of organic spray coatings for both active and metal layers. The resulting solar cell has a three-percent power conversion efficiency, comparable to existing cells that do not have a spray-on metal layer. The technique is a step toward radically reducing the cost and increasing the throughput of making solar cells. "By 2025 people hope more than 20 percent of our energy comes from renewable sources, so solar cells have to be developed more quickly and their cost must be reduced dramatically," said Van den hove. "We believe organic solar cells have a great promise although it faces big challenges in cell lifetime and efficiency," he added. Conventional silicon-based solar cells could break through current limits of 30 percent efficiency if layers are added to convert photons to optimal spectrum ranges, said Jef Poortmans, solar and organic program director at IMEC. Organic cells could bust beyond their current limit at about 6.7 percent efficiency to hit 15-20 percent levels if they are stacked, he added. Poortmans showed an IMEC solar road map that involves exploration of three solar cell technologies, including nanowires now in an exploratory stage. "A number of technologies will coexist for the next two decades because each has assets that make them suited for specific markets," he said. In wireless, IMEC discussed its next-generation chips for software radio. The center's baseband is being expanded from a 4x4 to an 4x8 VLIW array to provide the muscle to handle 600Mbits/second LTE or 802.11n streams with a total power use of 220mW using a 40nm process. The center hopes to describe at the ISSCC conference in February a next-generation radio chip for LTE and Wi-Fi that includes an ADC. Together, the chips aim to provide an alternative to dedicated silicon that reduces the cost of supporting multiple 4G spectrum bands without consuming any more power. One drawback is the need for new tools to optimize the parallelism of the IMEC chips. IMEC has been developing wireless chips with various levels of flexibility for eight years, said Wolfgang Eberle who spent six years on the program. At one point it became clear the center had all the elements for a software-defined radio strategy and decided to move ahead with it. Whether the SDR strategy gains traction in commercial use is an open question. RF chips have adopted a level of flexibility to save power, Eberle said, but industry has resisted a move to programmable basebands because vendors do not want to have to re-write the software stack for the chips. In an effort to make the leap easier for chip makers, IMEC announced a new service to design wireless chips using the center's reconfigurable technology. IMEC's medical electronics lab showed a wireless eight-channel electroencephalography (EEG) system embedded in a headset. It aims to lower health care costs by enabling home monitoring of diseases such as epilepsy or find uses in thought-controlled interfaces for computer games or electronic learning. The design simplifies today's systems that use multi-sensor caps wired to readers. "That's not very helpful if you want to live a normal life," said Lindsay Brown, a researcher in body-area networks. "Our headset could help people be more easily monitored with electronics fitted into a baseball cap," she said. The big challenge is in creating wearable sensors that don't require carful placement with special conductive gels, something consumers are loathe to use. Dry sensors are more user friendly but sometimes fail to deliver useable signals because they lack a good connection and generate motion artifacts when the user moves. "That's a big problem and researchers are just starting to scratch the surface of it," Brown said. Some researchers combine the bio-sensors with accelerometers, pressure or proximity sensors to help calculate and compensate for changing impedance with dry sensors. But no overarching solution to the problem has been identified yet. The EEG monitor is based on an IMEC ASIC that fits on a 47 x 27mm printed circuit board of a system that consumes just 1.8 mA, allowing it to run for more than three days on a 160 mAh Li-ion battery. It uses an 802.15.4 connection based on off the shelf controller from Texas Instruments and radios from Nordic Semiconductor. Researchers showed a non-traditional use for the EEG monitors with an art project called Steel Sky that gives participants an acoustic representation of their electrical brain activity. Users wear the IMEC headset as they walk through a room where 80 small steel plates are suspended above their heads. Tiny hammers tap rhythmic patterns on the steel plates, activated by their brainwaves In the MEMS area, IMEC created a watertight 11V actuator that could be used to position microscopic needles used in a brain implant at a fraction of the power of existing devices. The implantable chip could help adjust probes placed by a surgeon when patient movement breaks a contact between the device and a neural cluster The MEMES device uses four arms it can essentially take step-like movements in two directions to position and drive two actuators and was built in a silicon-on-insulator process. The device consumes less than 100nW yet works across a 100m range and delivers a force of 195N and is aimed at a broad range of bioengineering applications.
Comment

Become a member to take advantage of more features, like commenting and voting.

Jobs to Watch